位置: IT常识 - 正文

串口多字节数据的接收(串口发送字节数据的数据格式是什么)

编辑:rootadmin
这次设计一个可以接收多字节(通过修改例化时的位宽实现)的串口接收模块。 当接收到9个字节的数据,但是我们只需要8个字节的数据时候,我们需要的是前八位的数据还是后八位的数据我们无法确定。 所以我们需要设定一种传输协议,这种协议我们可以自定义规则。我们就设定前缀为8'h55+8'hA5,后缀为8'hF0 ...

推荐整理分享串口多字节数据的接收(串口发送字节数据的数据格式是什么),希望有所帮助,仅作参考,欢迎阅读内容。

文章相关热门搜索词:串口最后多一个字节ff,串口发送多字节数据,串口发送多字节数据,串口通信一次几个字节,串口如果接收多字节数据fpga,串口一次最多读取多少字节,串口通信一次几个字节,串口如果接收多字节数据fpga,内容如对您有帮助,希望把文章链接给更多的朋友!

这次设计一个可以接收多字节(通过修改例化时的位宽实现)的串口接收模块。

串口多字节数据的接收(串口发送字节数据的数据格式是什么)

当接收到9个字节的数据,但是我们只需要8个字节的数据时候,我们需要的是前八位的数据还是后八位的数据我们无法确定。

所以我们需要设定一种传输协议,这种协议我们可以自定义规则。我们就设定前缀为8'h55+8'hA5,后缀为8'hF0的一串数据即为我们需要的数据。

1、状态机的设定

2、需要的模块(1) 8位串口接收模块`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: Lclone// // Create Date: 2022/12/16 15:37:44// Design Name: uart_byte_rx// Module Name: uart_byte_rx// Project Name: uart_byte_rx// Target Devices: // Tool Versions: // Description: 8位串口接收模块// // Dependencies: // // Revision:// Revision 0.01 - File Created// Additional Comments:// //////////////////////////////////////////////////////////////////////////////////module uart_byte_rx # ( parameter RX_BAUD = 9600,//波特率 parameter CLK_FQC = 50_000_000,//模块时钟频率 parameter BAUD_CNT = CLK_FQC/RX_BAUD)//模块每波特需要计数的次数(设置此端口方便仿真用) ( input Clk,//时钟频率接口 input Rst_n,//复位接口 input Uart_rx,//串口接收接口 output reg [7:0] Data,//接收到的数据接口 output reg Rx_done//接收完成信号 ); reg uart_rx_r;//延一拍 reg uart_rx_rr;//延两拍 reg receiv_begin;//接收开始信号 reg receiv_flag;//接收状态信号 reg [ 3:0] state;//状态机寄存器 reg [15:0] baud_cnt;//波及计数器 reg [ 3:0] sampel_cnt;//采样计数器 reg sampel_en;//采样使能 reg sampel_ref;//样本寄存器 reg [ 3:0] acc;//累加寄存器 reg [ 3:0] bit_cnt;//数据位寄存器 always @(posedge Clk) begin //延两拍为下降沿捕获 uart_rx_r <= Uart_rx; uart_rx_rr <= uart_rx_r; end always @(posedge Clk or negedge Rst_n) begin//接收信号发生 if(Rst_n == 0) receiv_begin <= 0; else if(state == 0 & uart_rx_rr & ~uart_rx_r) receiv_begin <= 1'b1; else receiv_begin <= 0; end always @(posedge Clk or negedge Rst_n) begin//状态机 if(Rst_n == 0) begin state <= 0; sampel_ref <= 8'b0; acc <= 8'b0; Data <= 8'b0; end else case(state) 0: //空闲状态 if(receiv_begin == 1) state <= 3'd1; else state <= 0; 1: begin//抽样状态 if(sampel_en == 1) begin sampel_ref <= Uart_rx; state <= 3'd2; end else state <= 3'b1; end 2: begin//数据判断状态 acc <= acc + sampel_ref; if(sampel_cnt == 7) begin if(acc >= 4) begin Data[7] <= 1'b1; state <= 3'd3;acc <= 8'b0; end else begin Data[7] <= 0; state <= 3'd3;acc <= 8'b0; end end else state <= 3'd1; end 3: begin//数据移位状态 if(bit_cnt < 8) begin Data <= Data >> 1; state <= 3'd1; end else state <= 0; end default:; endcase end always @(posedge Clk or negedge Rst_n) begin//接收进行标志 if(Rst_n == 0) receiv_flag <= 0; else if(receiv_begin == 1) receiv_flag <= 1'b1; else if(bit_cnt == 9 & baud_cnt == BAUD_CNT/9*8) //这里设置为记到BAUD_CNT/9*8是为了让Rx_done信号提前一点产生,避免因为Rx_done出现过晚,导致错过下一个起始位的下降沿。后面和其相同的条件判断,也是因为相同原因设置的。 receiv_flag <= 1'b0; end always @(posedge Clk or negedge Rst_n) begin//波特计数 if(Rst_n == 0) baud_cnt <= 0; else if(receiv_flag == 1) begin if(baud_cnt == BAUD_CNT - 1) baud_cnt <= 0; else baud_cnt <= baud_cnt + 1'b1; end else baud_cnt <= 0; end always @(posedge Clk or negedge Rst_n) begin//采样计数 if(Rst_n == 0) begin sampel_cnt <= 0; sampel_en <= 0; end else if(receiv_flag == 1) begin case(baud_cnt) BAUD_CNT/9*1-1 : begin sampel_cnt <= 0; sampel_en <=1; end BAUD_CNT/9*2-1 : begin sampel_cnt <= 1; sampel_en <=1; end BAUD_CNT/9*3-1 : begin sampel_cnt <= 2; sampel_en <=1; end BAUD_CNT/9*4-1 : begin sampel_cnt <= 3; sampel_en <=1; end BAUD_CNT/9*5-1 : begin sampel_cnt <= 4; sampel_en <=1; end BAUD_CNT/9*6-1 : begin sampel_cnt <= 5; sampel_en <=1; end BAUD_CNT/9*7-1 : begin sampel_cnt <= 6; sampel_en <=1; end BAUD_CNT/9*8-1 : begin sampel_cnt <= 7; sampel_en <=1; end BAUD_CNT/9*9-1 : sampel_cnt <= 0; default:sampel_en <=0; endcase end end always @(posedge Clk or negedge Rst_n) begin//数据位计数 if(Rst_n == 0) bit_cnt <= 0; else if(bit_cnt == 9 & baud_cnt == BAUD_CNT/9*8) bit_cnt <= 0; else if(baud_cnt == BAUD_CNT - 1) bit_cnt <= bit_cnt + 1'b1; end always @(posedge Clk or negedge Rst_n) begin//接收完成信号产生 if(Rst_n == 0) Rx_done <= 0; else if(bit_cnt == 9 & baud_cnt == BAUD_CNT/9*8) Rx_done <= 1'b1; else Rx_done <= 0; endendmodule3、设计的模块代码`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 2022/12/25 00:26:10// Design Name: // Module Name: uart_bytes_rx// Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision:// Revision 0.01 - File Created// Additional Comments:// //////////////////////////////////////////////////////////////////////////////////module uart_bytes_rx#(parameterDATA_WIDTH = 64,//数据位宽parameterPREFIX1 = 8'h55,//前缀1parameterPREFIX2 = 8'hA5,//前缀2parameterENDINGS = 8'hF0)//后缀(input Clk,//时钟信号input Rst_n,//复位信号input Uart_rx,//串口接收端口output reg[DATA_WIDTH-1-8*3:0] Bytes_data,//多字节数据端口output reg Bytes_Rx_done//多字节接收完成);reg[2:0]state;//状态机寄存器reg[DATA_WIDTH-1:0]bytes_data_reg;//多字节数据接收寄存器wire[7:0]rx_data_reg;//8位数据接收寄存器wireRx_done;//8位数据接收完成信号 uart_byte_rx # ( .RX_BAUD (115200),//波特率 .CLK_FQC (50_000_000))//时钟频率uart_byte_rx_inst ( .Clk (Clk),//时钟 .Rst_n (Rst_n),//复位 .Uart_rx (Uart_rx),//串口接收端口 .Data (rx_data_reg),//8位数据端口 .Rx_done (Rx_done)//8位数据接收完成 );always @(posedge Clk or negedge Rst_n) begin//状态机if(Rst_n == 0) beginstate <= 0;bytes_data_reg <= 0;Bytes_Rx_done <= 0;Bytes_data <= 0;end else case(state)0:beginif(Rx_done) beginbytes_data_reg[DATA_WIDTH-1:DATA_WIDTH-1-7] <= rx_data_reg;//数据装载state <= 3'd1;end else beginstate <= 0;Bytes_Rx_done <= 0;endend1:beginif(bytes_data_reg[DATA_WIDTH-1:DATA_WIDTH-1-7] == ENDINGS && bytes_data_reg[15:8] ==PREFIX2 && bytes_data_reg[7:0] ==PREFIX1)//数据协议判断 beginBytes_data <= bytes_data_reg[DATA_WIDTH-1-8:16];state <= 1'b0;Bytes_Rx_done <= 1'b1;bytes_data_reg <= 0; end elsestate <= 3'd2;end2:beginbytes_data_reg <= bytes_data_reg >> 8;//数据移位state <= 0;endendcaseendendmodule4、仿真验证(1)仿真激励文件`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 2022/12/26 16:14:35// Design Name: // Module Name: uart_bytes_rx_tb// Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision:// Revision 0.01 - File Created// Additional Comments:// //////////////////////////////////////////////////////////////////////////////////module uart_bytes_rx_tb(); reg CLK_50M; reg RST_N; wire [39:0] Bytes_data; reg Uart_rx; wire Bytes_Rx_done; uart_bytes_rx # ( .DATA_WIDTH (64)) uart_bytes_rx_inst ( .Clk (CLK_50M), .Rst_n (RST_N), .Uart_rx (Uart_rx), .Bytes_data (Bytes_data), .Bytes_Rx_done (Bytes_Rx_done) ); defparamuart_bytes_rx_inst.uart_byte_rx_inst.BAUD_CNT = 50; always #10 CLK_50M <= ~CLK_50M; initial begin CLK_50M <= 1'b0; RST_N <= 1'b0; Uart_rx <= 1'b1; #100 RST_N <= 1'b1; #20data_deliver(8'h55); #100data_deliver(8'hA5); #100data_deliver(8'h01); #100data_deliver(8'h23); #100data_deliver(8'h45); #100data_deliver(8'h67); #100data_deliver(8'h89); #100data_deliver(8'hf0); #100 $stop; endtask data_deliver;input [7:0]test_data;beginUart_rx <= 1'b0;#1000 Uart_rx <= test_data[0];#1000 Uart_rx <= test_data[1];#1000 Uart_rx <= test_data[2];#1000 Uart_rx <= test_data[3];#1000 Uart_rx <= test_data[4];#1000 Uart_rx <= test_data[5];#1000 Uart_rx <= test_data[6];#1000 Uart_rx <= test_data[7];#1000 Uart_rx <= 1'b1;#1000;endendtaskendmodule(2)仿真结果

5、应用实例

我们把它应用为一个通过接收电脑串口发送的数据从而改变8位LED每位是否闪烁和闪烁的周期的程序。

top.v`timescale 1ns / 1psmodule Top( inputSclk,inputRst_n,inputUart_rx,output[7:0]LED);wire [23:0]Bytes_data;wireBytes_Rx_done;uart_bytes_rx# ( .DATA_WIDTH (48),.PREFIX1(8'h55),.PREFIX2 (8'hA5),.ENDINGS (8'hF0))uart_bytes_rx_inst(.Clk(Sclk),.Rst_n(Rst_n),.Uart_rx(Uart_rx),.Bytes_data(Bytes_data),.Bytes_Rx_done(Bytes_Rx_done));LED_6LED_6_inst(.SCLK(Sclk),.RST_N(Rst_n),.CTRL_IN(Bytes_data[7:0]),.Time(Bytes_data[23:8]),.LED(LED) );endmoduleuart_bytes_rx.v`timescale 1ns / 1psmodule uart_bytes_rx#(parameterDATA_WIDTH = 64,//数据位宽parameterPREFIX1 = 8'h55,//前缀1parameterPREFIX2 = 8'hA5,//前缀2parameterENDINGS = 8'hF0)//后缀(input Clk,//时钟信号input Rst_n,//复位信号input Uart_rx,//串口接收端口output reg[DATA_WIDTH-1-8*3:0] Bytes_data,//多字节数据端口output reg Bytes_Rx_done//多字节接收完成);reg[2:0]state;//状态机寄存器reg[DATA_WIDTH-1:0]bytes_data_reg;//多字节数据接收寄存器wire[7:0]rx_data_reg;//8位数据接收寄存器wireRx_done;//8位数据接收完成信号 uart_byte_rx # ( .RX_BAUD (115200),//波特率 .CLK_FQC (50_000_000))//时钟频率uart_byte_rx_inst ( .Clk (Clk),//时钟 .Rst_n (Rst_n),//复位 .Uart_rx (Uart_rx),//串口接收端口 .Data (rx_data_reg),//8位数据端口 .Rx_done (Rx_done)//8位数据接收完成 );always @(posedge Clk or negedge Rst_n) begin//状态机if(Rst_n == 0) beginstate <= 0;bytes_data_reg <= 0;Bytes_Rx_done <= 0;Bytes_data <= 0;end else case(state)0:beginif(Rx_done) beginbytes_data_reg[DATA_WIDTH-1:DATA_WIDTH-1-7] <= rx_data_reg;//数据装载state <= 3'd1;end else beginstate <= 0;Bytes_Rx_done <= 0;endend1:beginif(bytes_data_reg[DATA_WIDTH-1:DATA_WIDTH-1-7] == ENDINGS && bytes_data_reg[15:8] ==PREFIX2 && bytes_data_reg[7:0] ==PREFIX1)//数据协议判断 beginBytes_data <= bytes_data_reg[DATA_WIDTH-1-8:16];state <= 1'b0;Bytes_Rx_done <= 1'b1;bytes_data_reg <= 0; end elsestate <= 3'd2;end2:beginbytes_data_reg <= bytes_data_reg >> 8;//数据移位state <= 0;endendcaseendendmoduleuart_byte_rx.v`timescale 1ns / 1psmodule uart_byte_rx # ( parameter RX_BAUD = 9600, parameter CLK_FQC = 50_000_000, parameter BAUD_CNT = CLK_FQC/RX_BAUD) ( input Clk, input Rst_n, input Uart_rx, output reg [7:0] Data, output reg Rx_done ); reg uart_rx_r; reg uart_rx_rr; reg receiv_begin; reg receiv_flag; reg [ 3:0] state; reg [15:0] baud_cnt; reg [ 3:0] sampel_cnt; reg sampel_en; reg sampel_ref; reg [ 3:0] acc; reg [ 3:0] bit_cnt; always @(posedge Clk) begin uart_rx_r <= Uart_rx; uart_rx_rr <= uart_rx_r; end always @(posedge Clk or negedge Rst_n) begin if(Rst_n == 0) receiv_begin <= 0; else if(state == 0 & uart_rx_rr & ~uart_rx_r) receiv_begin <= 1'b1; else receiv_begin <= 0; end always @(posedge Clk or negedge Rst_n) begin if(Rst_n == 0) begin state <= 0; sampel_ref <= 8'b0; acc <= 8'b0; Data <= 8'b0; end else case(state) 0: if(receiv_begin == 1) state <= 3'd1; else state <= 0; 1: begin if(sampel_en == 1) begin sampel_ref <= Uart_rx; state <= 3'd2; end else state <= 3'b1; end 2: begin acc <= acc + sampel_ref; if(sampel_cnt == 7) begin if(acc >= 4) begin Data[7] <= 1'b1; state <= 3'd3;acc <= 8'b0; end else begin Data[7] <= 0; state <= 3'd3;acc <= 8'b0; end end else state <= 3'd1; end 3: begin if(bit_cnt < 8) begin Data <= Data >> 1; state <= 3'd1; end else state <= 0; end default:; endcase end always @(posedge Clk or negedge Rst_n) begin if(Rst_n == 0) receiv_flag <= 0; else if(receiv_begin == 1) receiv_flag <= 1'b1; else if(bit_cnt == 9 & baud_cnt == BAUD_CNT/9*8) receiv_flag <= 1'b0; end always @(posedge Clk or negedge Rst_n) begin if(Rst_n == 0) baud_cnt <= 0; else if(receiv_flag == 1) begin if(baud_cnt == BAUD_CNT - 1) baud_cnt <= 0; else baud_cnt <= baud_cnt + 1'b1; end else baud_cnt <= 0; end always @(posedge Clk or negedge Rst_n) begin if(Rst_n == 0) begin sampel_cnt <= 0; sampel_en <= 0; end else if(receiv_flag == 1) begin case(baud_cnt) BAUD_CNT/9*1-1 : begin sampel_cnt <= 0; sampel_en <=1; end BAUD_CNT/9*2-1 : begin sampel_cnt <= 1; sampel_en <=1; end BAUD_CNT/9*3-1 : begin sampel_cnt <= 2; sampel_en <=1; end BAUD_CNT/9*4-1 : begin sampel_cnt <= 3; sampel_en <=1; end BAUD_CNT/9*5-1 : begin sampel_cnt <= 4; sampel_en <=1; end BAUD_CNT/9*6-1 : begin sampel_cnt <= 5; sampel_en <=1; end BAUD_CNT/9*7-1 : begin sampel_cnt <= 6; sampel_en <=1; end BAUD_CNT/9*8-1 : begin sampel_cnt <= 7; sampel_en <=1; end BAUD_CNT/9*9-1 : sampel_cnt <= 0; default:sampel_en <=0; endcase end end always @(posedge Clk or negedge Rst_n) begin if(Rst_n == 0) bit_cnt <= 0; else if(bit_cnt == 9 & baud_cnt == BAUD_CNT/9*8) bit_cnt <= 0; else if(baud_cnt == BAUD_CNT - 1) bit_cnt <= bit_cnt + 1'b1; end always @(posedge Clk or negedge Rst_n) begin if(Rst_n == 0) Rx_done <= 0; else if(bit_cnt == 9 & baud_cnt == BAUD_CNT/9*8) Rx_done <= 1'b1; else Rx_done <= 0; endendmoduleLED_6.v`timescale 1ns / 1psmodule LED_6( input SCLK, input RST_N, input [ 7:0] CTRL_IN, input [15:0] Time, output reg [ 7:0] LED ); parameter DELAY_10US = 500; parameter COUNT_10MS = 1000; reg [8:0] count_10us; reg [15:0] count_time;reg led_flag; always @(posedge SCLK or negedge RST_N) begin if(RST_N == 0) count_10us <= 0; else if(count_10us == DELAY_10US - 1) count_10us <= 0; else count_10us <= count_10us + 1'b1; end always @(posedge SCLK or negedge RST_N) begin if(RST_N == 0) count_time <= 0; else if(count_time == Time - 1) count_time <= 0; else if(count_10us == DELAY_10US - 1) count_time <= count_time + 1'b1; endalways @(posedge SCLK or negedge RST_N) begin if(RST_N == 0) led_flag <= 0; else if(count_time == COUNT_10MS - 1) led_flag <= ~led_flag; end always @(posedge SCLK or negedge RST_N) beginif(RST_N == 0)LED <= 0;else if(count_time == COUNT_10MS - 1 & led_flag == 1)LED <= CTRL_IN;else if(count_time == COUNT_10MS - 1 & led_flag == 0)LED <= 0; end endmodule
本文链接地址:https://www.jiuchutong.com/zhishi/310408.html 转载请保留说明!

上一篇:OpenGL 伽马线(opengl加阴影)

下一篇:帝国cms要授权吗(帝国cms要授权吗知乎)

  • 微信菱形红包什么时候可以发(微信红包有什么花样)

    微信菱形红包什么时候可以发(微信红包有什么花样)

  • 微信安卓版 8.0.11更新了什么(微信安卓版 8.0.37)

    微信安卓版 8.0.11更新了什么(微信安卓版 8.0.37)

  • 网易音乐人怎么申请(网易音乐人怎么赚钱)

    网易音乐人怎么申请(网易音乐人怎么赚钱)

  • 手机qq怎么拉黑好友让对方没法加呢(拉黑的手机号怎么恢复)

    手机qq怎么拉黑好友让对方没法加呢(拉黑的手机号怎么恢复)

  • 三星s10电池容量是多少毫安(三星s10电池容量查询)

    三星s10电池容量是多少毫安(三星s10电池容量查询)

  • 荣耀x10max是什么处理器(荣耀x10max是什么屏幕材质)

    荣耀x10max是什么处理器(荣耀x10max是什么屏幕材质)

  • 拼多多怎么养鱼(拼多多养鱼领现金)

    拼多多怎么养鱼(拼多多养鱼领现金)

  • 微信视频声音变了怎么调整(微信视频声音变声了怎么恢复)

    微信视频声音变了怎么调整(微信视频声音变声了怎么恢复)

  • 华为nova7怎么开热点(华为nova7怎么开空调)

    华为nova7怎么开热点(华为nova7怎么开空调)

  • 华为mate30充满电可以用多长时间(荣耀magic5充电多久充满)

    华为mate30充满电可以用多长时间(荣耀magic5充电多久充满)

  • 快手怎么删除所有赞(快手怎么删除所有聊天记录)

    快手怎么删除所有赞(快手怎么删除所有聊天记录)

  • 华为p20怎么更新系统(华为p20怎么更新软件)

    华为p20怎么更新系统(华为p20怎么更新软件)

  • ipad自己乱跳怎么回事(ipad自己跳动怎么回事)

    ipad自己乱跳怎么回事(ipad自己跳动怎么回事)

  • klwtl00h什么型号(klw一cl00是什么型号)

    klwtl00h什么型号(klw一cl00是什么型号)

  • 键盘scroll灯亮什么意思(键盘scroll灯亮但键盘没反应)

    键盘scroll灯亮什么意思(键盘scroll灯亮但键盘没反应)

  • 通话中断00:00是什么意思(电话中断00:00)

    通话中断00:00是什么意思(电话中断00:00)

  • 手机应用停止运行怎么回事(手机应用停止运行是什么意思)

    手机应用停止运行怎么回事(手机应用停止运行是什么意思)

  • ipad存储的文件在哪里(ipad存储的文件怎么打开)

    ipad存储的文件在哪里(ipad存储的文件怎么打开)

  • 华为mate30rs上市时间(华为mate30rs值得入手吗)

    华为mate30rs上市时间(华为mate30rs值得入手吗)

  • 如何避免微信封号(如何避免微信封号2022)

    如何避免微信封号(如何避免微信封号2022)

  • 如何制作视频链接(如何制作视频链接文件)

    如何制作视频链接(如何制作视频链接文件)

  •  三星a60对比realmex(三星a60对比华为nova3)

    三星a60对比realmex(三星a60对比华为nova3)

  • opporeno支持面部识别吗(oppo支持面容支付)

    opporeno支持面部识别吗(oppo支持面容支付)

  • 快手直播注意事项(快手直播间注意的话语)

    快手直播注意事项(快手直播间注意的话语)

  • macOS 10.13允许任何来源没有了怎么办?macOS 10.13允许任何来源没了开启步骤

    macOS 10.13允许任何来源没有了怎么办?macOS 10.13允许任何来源没了开启步骤

  • 年末是否结转本年利润
  • 借贷记账法的基本结构
  • 发生租赁费用怎么做账
  • 公司亏损汇算清单模板
  • 印花税的三种缴税方式
  • 上传附报资料在哪下载
  • 水利建设基金的会计分录
  • 设计服务需要交文化建设费吗
  • 企业清算过程中应收应付的处置
  • 加建工程部分结算款如何做会计分录呢?
  • 财务费用过多有什么影响
  • 公司委托财务去银行办理业务
  • 收到认缴实收资本怎么做账务处理?
  • 分公司代理人
  • 一次性收到跨期租赁费会计分录
  • 对供应商罚款通知单怎么写
  • 特许权使用费预扣预缴计算方法
  • 职工的大病医保怎么报销
  • 营业执照印花税减免政策
  • 化妆品消费税是从价还是从量
  • 960011115金税盘错误
  • 业务宣传费和广告费有什么区别
  • 国内外经典案例
  • 企业收到红字发票,应该如何申报报表?
  • 在建工程的工资计入哪里
  • 进项税未抵扣会计分录
  • 去国外参加展会带什么东西
  • bios术语
  • 全部投资内部收益率
  • 小规模纳税人计税方法
  • 广告公司广告费收入交增值税吗
  • 子公司代总公司付款
  • linux中gedit命令
  • 上半年的业务总结几句话
  • macbookzen
  • 营改增后房地产企业账务处理
  • 驾校收入与成本的关系
  • 企业年金基金收益
  • 装修费怎么记会计科目
  • .info是什么意思?
  • 财务运作规律
  • 货物发生非正常损失为什么要做j进项转出
  • yolo4损失函数
  • vue开发视频教程
  • 滑模控制理论与应用研究pdf
  • 认缴制情况下 公司一定要出资到位再注销吗
  • 实收资本 增加
  • 电子发票怎么清盘操作流程
  • 固定资产出售算不算收入
  • 结转本期损益怎么看亏损
  • 发票未到已付款怎么入账
  • 预缴所得税年底处理账务吗
  • 工会经费为0是不用申报的?
  • 医用口罩的适用人群
  • 公司注销了就可以不发工资么
  • 财务费用的内容包括哪些
  • 资本公积和盈余公积是什么意思
  • 关于新企业所得税法说法正确的是
  • 损益类账户属于资产还是负债
  • 普通发票作废如何恢复
  • 员工离职未领工资怎么处置
  • win8 设置
  • 整理房间日记200
  • fedora常用命令
  • centos7修改远程登录端口
  • 无法安装osx
  • linux的问题
  • win7系统打印服务怎么开启
  • 各种linux系统比较
  • win7系统如何清理c盘空间
  • 批处理程序教程
  • unity3d官方案例
  • 已经序列化的表单怎么再添加
  • jquery的选择器作用是选中某些元素
  • shell 查找文件并赋值shell变量
  • jQuery插件安装教程
  • 怎么查询开票信息呢
  • 单位没有缴纳个人医保
  • 如何查询税控盘是否注销成功
  • 浙江国地税联合税务局
  • 免责声明:网站部分图片文字素材来源于网络,如有侵权,请及时告知,我们会第一时间删除,谢谢! 邮箱:opceo@qq.com

    鄂ICP备2023003026号

    网站地图: 企业信息 工商信息 财税知识 网络常识 编程技术

    友情链接: 武汉网站建设